Filter Results By:

Products

Applications

Manufacturers

Defect

other than specified, imperfection .


Showing results: 316 - 330 of 344 items found.

  • Thermal Shock Chambers

    Cincinnati Sub-Zero Products

    We provide a large selection of thermal shock chambers to accommodate various types of product testing. Thermal Shock Chambers perform tailored environmental stress screening of component and board electronic assemblies. Our unique chamber design transfers product between two extreme temperature-controlled chambers, passing equal volumes of high velocity conditioned air over the product and resulting in rapid product temperature changes. The induced thermal stresses can reveal hidden manufacturing defects in electronic sub-assemblies and other components by the expansion and contraction of critical parts.

  • Surface Inspection Systems

    CVS Trevista X4 - STEMMER IMAGING Ltd.

    The fourth generation of CVS Trevista Surface, Cylinder and Multiline surface inspection systems from Stemmer Imaging features brighter illumination to enable faster image acquisition and have a larger measuring field. The new Trevista X4 models utilize a 2.5-D process, called "Shape from Shading," and are especially suited to the examination of components with shiny and diffusely scattering surfaces. Surface defects such as scratches, burrs, dents, discoloration or grinding marks, even if only a few micrometers deep, can be detected with significantly greater certainty with this process than with conventional image processing systems, according to the manufacturer. The X4 generation offers structured, diffuse dome illumination that is brighter by a factor of 2.5 compared with the previous models, enabling faster image acquisition. For a typical image size of four megapixels, evaluation using the Trevista algorithm takes place around 20% faster than before, which results in potentially lower cycle times.

  • Microelectronics And Packaging AOI

    Machine Vision Products

    Machine Vision Products, Inc. has extensive experience in a wide range of Microelectronics and Packaging applications. MVP works with the world’s leading manufacturers on a global basis. From multiple die and wire technologies to leadframe, ball grid array and surface inspection applications, MVP has the widest applications toolbox of any AOI provider. MVP takes pride in the fact that they supply many complex inspection solutions to diverse industries such as Automotive, Telecoms, Medical Devices, Military, and Space. MVP’s 900 Series is the base platform upon which all Microelectronics and Packaging inspection solutions are based. The 900 series 2D capabilities provide metrology and defect detection using a propriety Quad-Color lighting, Telecentric optics and resolutions down to 1um. 3D height measurement capabilities allow for in-line high speed inspection of Dies, paste deposition, positional accuracy, volume and height with a resolution down to 1.13um.

  • Oil Immersed Test Transformer

    SG-YD - Wuhan Sangao Electrical Test Installations Limit Co.

    AC and DC test oil immersed transformer.Traditional oil immersed test transformer is used to do power frequency withstand voltage and DC current withstand voltage test for variety kinds of high voltage electric device, insulating material.YD series oil transformer, also known as test booster, which test insulation strength under specified voltage for various electric products, components, insulation materials. It can test products insulation level, find insulation defect and judge overvoltage ability. It is widely used in power station, power supply and distribution system and research institute.

  • DM 150-Watt Transmitter

    Loc-150Tx - Vivax-Metrotech Corp

    The Loc-150Tx, 150-Watt DM Transmitter is used primarily with the Defect Mapper (DM) Receiver, however it is also useful for those needing a low frequency, high output transmitter. Typically, the Loc-150Tx, transmitter (DM transmitter) is used to apply a signal current to the anode bed. The pipeline returns the signal via coating faults back to the transmitter. The Loc-150Tx transmitter is designed to be powered from CP (Cathodic Protection) stations, AC or external batteries, eliminating the need for internal batteries. This transmitter has a direct connection mode to apply the locate frequency onto the conductor.  There is no clamp or induction mode.

  • Line Scan Vision System

    In-Sight 9902L - Cognex Corporation

    The In-Sight 9902L 2K line scan smart camera is a high resolution self-contained vision system ideal for detailed inspections of large, cylindrical, or continuously moving objects. The 9902L acquires up to 16,000 lines of 2,000 pixels per line to produce a 32MP image that can used to detect even the smallest features and defects. Each pixel line is acquired at 67,000 lines per second to keep up with the fastest production lines. This standalone vision system only requires a small view of the target part, making it an ideal choice for installations with restrictive field of view or mounting space requirements.

  • Portable GIS Partial Discharge Detector

    JHPD-10 - Xiamen Jiahua Electrical Technology Co.,Ltd

    JHPD-10 quickly and accurately detects various kinds of partial discharge signals that occur with insulation defects in GIS. Attached on the surface of GIS the detector collects the inside discharge signal of impure gas, suspension potential and solid insulation material. The diagnostic software analyzes the fault character and locates the fault to avoid electricity accident. The device is for daily patrol inspection with high efficiency and easy operation features. Designed with two UHF signal channels, one detects the partial discharge signal; another excludes the disturbance signal like corona discharge in the air and mobile phone communication.

  • Metrology Solutions for Semiconductors

    Bruker Corporation

    Bruker Semiconductor develops, manufactures, markets, and supports metrology solutions for thin films, which are based on novel, rapid, non-contacting and non-destructive X-ray technology. With Bruker’s acquisition of Jordan Valley Semiconductors, a name synonymous with unparalleled worldwide customer service and support, 75% of the world's top 25 semiconductor manufacturers rely on Bruker metrology tools for front-end and back-end applications, including development of their next-generation thin films. Bruker commitment to innovation and technology leadership drives the continued release of new advancements in metrology, and has garnered numerous awards and industry recognition. In applications ranging from C-S thin films materials characterization to wafer substrate analysis and defect detection, Bruker’s systems provide simulation analysis and fit. HRXRD, XRR, WA-XRD, and XRDI measurement types are fully supported, enabling researchers, production engineers, and process developers unparalleled capabilities. Whether you are a semi and C-S fabricator, R&D center or academy, or an industry materials research facility, Bruker has a specifically designed solution for your metrology needs.

  • Semiconductor Authenticity Verification & Anti-Counterfeiting

    JTAG Interrogator - Corelis, Inc.

    Top manufacturers have used JTAG tests for years to ensure electronic systems are free from defects and assembled correctly. With growing uncertainty in supply chains and the proliferation of counterfeit components, that same technology can be used to verify the authenticity of system components.The Corelis JTAG Interrogator is an affordable solution for semiconductor verification. The software and hardware system provides the means to quickly identify components on an assembled electronic product by reading available information from the JTAG chain. Components can also be scanned to discover undocumented opcodes that may indicate hidden JTAG capabilities such as backdoors and harmful or malicious functions.Fast and nonintrusive JTAG component identification has never been so easy.

  • Ultrasonic Flaw Detector

    MFD350B - Mitech Co., LTD.

    Based on ultrasonic principle, digital ultrasonic flaw detector MFD350B with 320*240 TFT LCD, it can test, orient, evaluate and diagnose various flaws such as crack, lard, air hole in workpiece’s interior swiftly and accurately without any destruction. It can be used in Laboratory as well as in engineering filed. With range of 0-6000mm, it can meet the requirement for general defect inspection in manufacturing industry, metallurgical industry, metal processing industry, chemical industry and so on. Low power design with large capacity and high performance lithium battery module, it can be long standby for months. High quality with low price, it is the first choice for the practical economic model for ultrasonic testing equipment.

  • Ultrasonic Flaw Detector

    MFD500B - Mitech Co., LTD.

    Based on ultrasonic principle, digital ultrasonic flaw detector MFD500B with 320*240 TFT LCD, it can test, orient, evaluate and diagnose various flaws such as crack, lard, air hole in workpiece’s interior swiftly and accurately without any destruction. It can be used in Laboratory as well as in engineering filed. With range of 0-9999mm, it can meet the requirement for general defect inspection in manufacturing industry, metallurgical industry, metal processing industry, chemical industry and so on. Low power design with large capacity and high performance lithium battery module, it can be long standby for months. High quality with low price, it is the first choice for the practical economic model for ultrasonic testing equipment.

  • Compound Semi | MEMS | HDD Manufacturing

    KLA-Tencor Corp

    KLA has a comprehensive portfolio of inspection, metrology, and data analytics systems to support power devices, RF communications, LED, photonics, MEMS, CPV solar and display manufacturing. High brightness LEDs are becoming commonly used in solid-state lighting and automotive applications, and LED device makers are targeting aggressive cost and performance improvements, requiring more emphasis on improved process control and yield. Similarly, leading power device manufacturers are targeting faster development and ramp times, high product yields and lower device costs, and are implementing solutions for characterizing yield-limiting defects and processes. KLA's inspection, metrology and data analytics systems help these manufacturers control their processes and increase yield.

  • Automated Excise Stamp Control System

    Kama - ViTec Co. Ltd

    The automated control (verification) system of excise stamps allows for blotting accounting of alcohol, dairy and other products (inspection of the readability of DataMatrix, PDF, QR, Barcode and other barcodes of excise or federal stamps) at a speed of up to 20 pcs / s. This visual inspection allows you to quickly identify defects in DM and PDF printing. The recognition system also analyzes gaps and doubles in a sequence of codes. The system has its own mechanism for rewinding a roll with a volume of up to 5000 marks. All types of stamps (old and new, large and small) are supported. Wide range of options for configuring scanning parameters and automatic generation of reports for the EGAIS department. Possibility of manual scanning (by hand scanner).

  • Textile Testing Instruments

    Dongguan Amade Instruments Technology Co., Ltd

    Textile testing instruments are developed to determine the quality and performance of various fibrillar component fabrics, structural fabrics, garments, home textiles and other textiles by physical and chemical methods in conformance with international standards. By using scientific testing methods to judge the quality of materials or final products, manufacturers of textiles are capable of detecting the defects and unqualified products to correct problems in time, conducive to reducing loss and maintaining the business reputation. Testings cover tensile strength, tearing strength, seam slippage, joint strength, bursting strength, resistance to wear, pilling resistance, color fastness to washing, rubbing fastness, light fastness, color fastness to perspiration, dimension stability, inflaming retarding test etc.

  • Chip Manufacturing

    KLA-Tencor Corp

    KLA’s advanced process control and process enabling solutions support integrated circuit manufacturing. Using KLA’s comprehensive portfolio of defect inspection, review, metrology, patterning simulation, in situ process monitoring and data analytics systems, IC manufacturers can manage yield and reliability throughout the chip fabrication process - from research and development to final volume production. SPTS provides deposition process solutions for insulating materials and conducting metals that cover a range of chip manufacturing process steps. IC manufacturers use KLA's array of products and solutions to help accelerate their development and production ramp cycles, to achieve higher semiconductor die yield and improved IC quality, and to improve overall profitability in the IC manufacturing process.

Get Help