Onto Innovation

Onto Innovation collaborates with its customers around the globe to develop innovative, data-driven solutions that increase the yield and profitability of their microelectronics and display manufacturing operations. Onto Innovation's comprehensive, state-of-the-art measurement, inspection, data analysis and lithography solutions for semiconductor manufacturing and advanced packaging processes accelerate product and process development, increase yields and reduce costs to enable its customers to be first-to-market with premium products at premium prices.

  • 978-253-6200
  • info@ontoinnovation.com
  • 16 Jonspin Road
    Wilmington, Massachusetts 01887
    United States

Filter Results By:

Products

Applications

Showing results: 1 - 15 of 24 items found.

  • Defect Inspection

    Onto Innovation

    With over 20 years of experience in defect inspection, microelectronics manufacturers around the world partner with us to improve yield by performing high-speed, automated inspection and then transforming the defect data into actionable process control with powerful analytical software.

  • Defect Inspection Module

    EB40 - Onto Innovation

    The Class 1 certified E40 and B40 modules (available separately or combined in one module) can automatically detect defects on the entire edge, from zone 1 to 5, and the entire backside. The ability to inspect the entire backside allows for faster root-cause analysis of zone 5 defects since such defects can migrate from the wafer interior.

  • Defect Inspection System

    F30 - Onto Innovation

    The F30 System boasts a five-objective turret that enables the resolution-throughput flexibility required by today’s multi-process inspection applications. Equipped with an advanced productivity suite (waferless recipe creation, simultaneous FOUP, recipe server and tool matching), the F30 System redefines inspection cost of ownership expectations.

  • Enterprise Software

    Onto Innovation

    In the race to digitize semiconductor manufacturing operations, Onto Innovation’s productivity software delivers the competitive advantage you need to capture market share. Our software connects information on a tool, within a factory, or across an entire global supply chain to capitalize on the potential of your biggest asset: your data.

  • Epi Thickness & Composition

    Onto Innovation

    FTIR (Fourier Transform Infrared) is the most important technology for measuring epitaxial film (Epi) thickness, measuring impurities in Silicon and monitoring dielectrcis, like Borophosphosilicate glass (BPSG), FSG, PSG, etc in semiconductor industry. FTIR is evolving from a primarily quality control (wafer supply chain) technology to a tool/process/chamber (test wafers) monitoring technology and more importantly, a device (product wafers) monitoring tool.

  • Lithography

    Onto Innovation

    With steppers for advanced packaging and flat panel display technology, Onto Innovation's latest fleet will meet today's manufacturing challenges head on. Systems are designed to maximize throughput without limited resolution and overlay.

  • Lithography System

    JetStep W2300 - Onto Innovation

    The JetStep W2300 System has been specifically designed to meet advanced packaging challenges head on. As resolution, overlay and many more technical specifications become tighter and more sophisticated for semiconductor advanced packaging processes, fulfilling lithography requirements becomes a challenge.

  • Lithography System

    JetStep X500 - Onto Innovation

    The JetStep X500 panel lithography system is optimized for volume manufacturing of high-end AICS and advanced packaging panels. The system incorporates a large field exposure system with advanced features to meet the challenging requirements encountered in production of AICS or panel level packaging, such as; fine resolution to 3µm with large depth of focus (DOF), high overlay accuracy of ±1µm, automatic magnification compensation with independent x and y magnification adjustment of ±100 ppm, and automatic handling of panel substrates of various dimensions, thicknesses, and levels of warp.

  • Metrology

    Onto Innovation

    Optical critical dimension (OCD) metrology and film metrology require accuracy and repeatability. Onto Innovation's techniques are well-established and trusted by semiconductor manufacturers around the globe.

  • OCD Solutions

    Onto Innovation

    Onto Innovation’s OCD technology offers powerful OCD modeling and advanced machine learning capability, as well as next-generation real-time regression, offline sensitivity analysis tools and comprehensive GUI and structure input for true multi-variant modeling. Both the Ai Diffract and SpectraProbe software packages deliver advanced capabilities in intuitive and easy to deploy hardware form factors.

  • Probe Card Test & Analysis

    Onto Innovation

    Automated probe card test and repair is used to monitor probe card health to ensure cards are ready and capable of testing semiconductor devices. In cases where the card is not meeting performance specification, repairs may be performed such as tip adjustment or card cleaning, thus returning the card quickly to a production state.

  • 330 System

    NSX - Onto Innovation

    With a combination of inspection plus metrology, NSX 330 System measures multiple applications including wafer-level metrology for micro bumps, RDL, kerf, overlay, and through silicon via (TSV) in a single wafer load.

  • VX4 System

    PrecisionWoRx - Onto Innovation

    The PrecisionWoRx VX4 System gives test facilities and probe card manufacturers the ability to confidently test tighter pitches and smaller probe tips. The system can be easily configured to specific requirements for a variety of probe card technologies. For processes using cards with very small probe tips, the system’s high-resolution optics deliver a detailed field-of-view for high accuracy and repeatability.

  • Metrology System

    Echo - Onto Innovation

    The Echo system is a comprehensive in-line metal film metrology tool for single and multi-layer metal film measurements in leading-edge logic, memory, advanced packaging, and specialty semiconductor devices.

  • Metrology System

    IVS - Onto Innovation

    The IVS 220 system is the latest generation in the IVS series and has been designed for ultimate precision, TIS (tool induced shift) and throughput on 200mm wafers. The cornerstone of the system’s reliability and stability is its mean time between failure (MTBF) of 2,100 hours. The IVS 280 provides the same capability in a package designed for overhead track handling with full E84 GEM300 capability.

Get Help