KLA-Tencor Corp

KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards and flat panel displays. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward.

  • 888-776-0942
    +1-408-875-3000
  • +1-408-875-4875
  • Three Technology Drive
    Milpitas, CA 95035
    United States

Filter Results By:

Products

Applications

Showing results: 16 - 17 of 17 items found.

  • Defect Inspection and Review

    KLA-Tencor Corp

    KLA’s defect inspection and review systems cover the full range of yield applications within the chip and wafer manufacturing environments, including incoming process tool qualification, wafer qualification, research and development, and tool, process and line monitoring. Patterned and unpatterned wafer defect inspection and review systems find, identify and classify particles and pattern defects on the front surface, back surface and edge of the wafer. This information allows engineers to detect, resolve and monitor critical yield excursions, resulting in faster yield ramp and higher production yield.

  • Metrology

    KLA-Tencor Corp

    KLA’s metrology systems address a range of chip and substrate manufacturing applications, including verification of design manufacturability, new process characterization and high volume manufacturing process monitoring. By providing precise measurement of pattern dimensions, film thicknesses, layer-to-layer alignment, pattern placement, surface topography and electro-optical properties, our comprehensive set of metrology systems allows chip manufacturers to maintain tight control of their processes for improved device performance and yield.

Get Help