KLA-Tencor Corp

KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards and flat panel displays. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward.

  • 888-776-0942
    +1-408-875-3000
  • +1-408-875-4875
  • Three Technology Drive
    Milpitas, CA 95035
    United States

Filter Results By:

Products

Applications

Showing results: 1 - 15 of 17 items found.

  • In Situ Process Management

    KLA-Tencor Corp

    KLA’s comprehensive portfolio of SensArray® products enables in situ monitoring of process tools’ environments. With wired and wireless sensor wafers and reticles, an automation package and data analysis systems, SensArray products provide comprehensive information for a wide range of wafer and reticle processes. Wafer process equipment manufacturers, IC manufacturers and reticle manufacturers use SensArray data to visualize, diagnose and control process conditions.

  • Patterning Simulation

    KLA-Tencor Corp

    KLA’s patterning simulation systems use advanced models to explore critical-feature designs, manufacturability and process-limited yield of proposed lithography and patterning technologies. Our patterning simulation software allows researchers to evaluate advanced patterning technologies, such as EUV lithography and multiple patterning techniques, without the time and expense of printing hundreds of test wafers using experimental materials and prototype process equipment.

  • Substrate Manufacturing

    KLA-Tencor Corp

    KLA’s substrate manufacturing portfolio includes defect inspection and review, metrology and data management systems that help substrate manufacturers manage quality throughout the wafer fabrication process. Specialized wafer inspection and review tools assess wafer surface quality and detect, count and bin defects during production and as a critical part of outgoing wafer qualification. Wafer geometry systems ensure the wafer shape is extremely flat and uniform in thickness, with precisely controlled wafer shape topography. Data analysis and management systems proactively identify substrate fabrication process excursions that can lead to yield loss. KLA’s substrate manufacturing systems support process development, production monitoring and final quality check of a broad range of substrate types and sizes including silicon, prime silicon, SOI, sapphire, glass, GaAs, SiC, GaN, InP, GaSb, Ge, LiTaO3, LiNBO3, and epitaxial wafers.

  • Thin-Film Thickness Measurement Systems

    KLA-Tencor Corp

    The Filmetrics® range of affordable reflectometers deliver high-precision thin-film thickness measurements in seconds. These easy-to-use tools, combined with intelligent software and a broad range of accessories and configurations, provide maximum versatility in film thickness measurements ranging from 1nm to 3mm.

  • Chip Manufacturing

    KLA-Tencor Corp

    KLA’s advanced process control and process enabling solutions support integrated circuit manufacturing. Using KLA’s comprehensive portfolio of defect inspection, review, metrology, patterning simulation, in situ process monitoring and data analytics systems, IC manufacturers can manage yield and reliability throughout the chip fabrication process - from research and development to final volume production. SPTS provides deposition process solutions for insulating materials and conducting metals that cover a range of chip manufacturing process steps. IC manufacturers use KLA's array of products and solutions to help accelerate their development and production ramp cycles, to achieve higher semiconductor die yield and improved IC quality, and to improve overall profitability in the IC manufacturing process.

  • Packaging Manufacturing

    KLA-Tencor Corp

    KLA’s extensive portfolio of packaging solutions accelerates the manufacturing process for outsourced semiconductor assembly and test (OSAT) providers, device manufacturers and foundries for a wide range of packaging applications. Innovations in advanced packaging, such as 2.5D/3D IC integration using through silicon vias (TSVs), wafer-level chip scale packaging (WLCSP), fan-out wafer-level packaging (FOWLP) and heterogeneous integration as well as a wide range of IC substrates create new and evolving process requirements. KLA offers systems for packaging inspection, metrology, die sorting and data analytics focused on meeting quality standards and increasing yield before and after singulation. SPTS provides a broad range of etch and deposition process solutions for advanced packaging applications. Orbotech offers a portfolio of technologies that includes automated optical inspection (AOI), automated optical shaping (AOS), direct imaging (DI), UV laser drilling, inkjet/additive printing and software solutions to ensure manufacture of the highest quality of IC substrates.

  • Compound Semi | MEMS | HDD Manufacturing

    KLA-Tencor Corp

    KLA has a comprehensive portfolio of inspection, metrology, and data analytics systems to support power devices, RF communications, LED, photonics, MEMS, CPV solar and display manufacturing. High brightness LEDs are becoming commonly used in solid-state lighting and automotive applications, and LED device makers are targeting aggressive cost and performance improvements, requiring more emphasis on improved process control and yield. Similarly, leading power device manufacturers are targeting faster development and ramp times, high product yields and lower device costs, and are implementing solutions for characterizing yield-limiting defects and processes. KLA's inspection, metrology and data analytics systems help these manufacturers control their processes and increase yield.

  • Reticle Manufacturing

    KLA-Tencor Corp

    An error-free reticle (also known as a photomask or mask) represents a critical element in achieving high semiconductor device yields, since reticle defects or pattern placement errors can be replicated in many die on production wafers. Reticles are built upon blanks: substrates of quartz deposited with absorber films. KLA’s portfolio of reticle inspection, metrology and data analytics systems help blank, reticle and IC manufacturers identify reticle defects and pattern placement errors, thereby reducing yield risk.

  • Optical Profilometers

    KLA-Tencor Corp

    Profilm3D® and Zeta™ optical profilometers provide fast, easy, non-contact solutions for 3D surface topography measurements. Our portfolio of optical profilers supports a variety of measurement techniques, including white light interferometry, True Color imaging and ZDot™ confocal grid structured illumination. KLA Instruments can help guide you to the right optical profiler solution for your unique needs.

  • Nanoindenters

    KLA-Tencor Corp

    Nanomechanical testers from KLA Instruments™ provide precise, reliable and repeatable measurements of hardness, Young’s modulus, and other mechanical properties to help you explore new materials, reduce product failures and accelerate your time-to-market. We offer nanoindentation tools that range from easy-to-use to a high performance nanoindenter to the industry’s highest performance and most versatile nano tensile tester.

  • Sheet Resistance Measurement

    KLA-Tencor Corp

    The Filmetrics® R54-series and R50-series sheet resistance measurement instruments have been developed based on over 45 years of KLA sheet resistance measurement innovation. The R50 measures metal layer thickness, sheet resistance and sheet conductance. The R54-series adds a light-tight enclosure, along with 300mm support, to provide metal thickness measurement solutions for semiconductor and compound semiconductor manufacturing.

  • Defect Inspection Systems

    KLA-Tencor Corp

    Candela® defect inspection systems detect and classify a wide range of critical defects on compound semiconductor substrates (GaN, GaAs, InP, sapphire, SiC, etc.) and hard disk drives, with high sensitivity at production throughputs.

  • Service

    KLA-Tencor Corp

    KLA Instruments™ is committed to supporting our customers everywhere, throughout the life of their KLA products. Our lab tools offer focused support by product group, and our fab tools leverage KLA’s global services and support organization. With your tools’ UTID ready, please contact us using the information below, organized by product group.

  • Stylus Profilometers

    Tencor™ - KLA-Tencor Corp

    KLA Instruments™ Alpha-Step®, Tencor P- and HRP®-series stylus profilometers deliver high-precision, 2D and 3D surface metrology, measuring step height, surface roughness, bow and stress with industry-leading stability and reliability for your R&D and production requirements.

  • Data Analytics

    KLA-Tencor Corp

    KLA’s data analytics systems centralize and analyze the data produced by inspection, metrology and process systems. Using advanced data analysis, modeling and visualization capabilities, our comprehensive suite of data analytics products support applications such as run-time process control, defect excursion identification, wafer and reticle dispositioning, scanner and process corrections, and defect classification. By providing chip and wafer manufacturers with relevant root cause information, our data management and analysis systems accelerate yield learning rates and reduce production risk.

Get Help